I recently started System Verilog and I'm a bit dumbfounded by a syntax error. Given the following module:
test.sv :
module test(
input logic clk,
output logic out );
always_ff @(posedge clk) begin
out = 1'b1;
end
endmodule
When compiling with iverilog -g2012 test.sv
, a simple syntax-error
for line 5 (always_ff) is generated. I don't know why this happens since my syntax seems to be correct.