I am trying to create a 5-bit input 32-bit output rom but on testbench section I get an error which says the following:
COMP96 ERROR COMP96_0367: "Improper array length (8). Expected length is 5." "testbench.vhd" 18 26
COMP96 ERROR COMP96_0367: "Improper array length (8). Expected length is 5." "testbench.vhd" 17 26
COMP96 ERROR COMP96_0367: "Improper array length (8). Expected length is 5." "testbench.vhd" 16 14
COMP96 ERROR COMP96_0367: "Improper array length (4). Expected length is 5." "testbench.vhd" 15 26
And the testbench code is the following:
library IEEE;
use IEEE.std_logic_1164.all;
entity rom_test is
end entity rom_test;
architecture dataflow of rom_test is
signal input_address: std_logic_vector (4 downto 0);
signal output_data: std_logic_vector (31 downto 0);
begin
g1: entity work.rom(dataflow)
port map(addr=>input_address, data_out=>output_data);
input_address <= x"0",
x"01" after 20 ns,
x"02" after 40 ns,
x"03" after 60 ns;
end architecture dataflow;
Can someone help?